Intel Accelerates Arizona Fab Buildout To Regain Lead In 2024

Intel
(Image credit: Intel)

Pat Gelsinger, chief executive of Intel, said that the company is accelerating the buildout of its 20A and 18A-capable fab in Arizona as it preps to ramp up its chips and chips manufacturing by its Intel Foundry Services clients using these technologies. In addition, he said that with its 18A (1.8nm-class) fabrication technology being production-ready in the second half of next year, the firm will formally regain process technology leadership in 2024.

To recoup development costs of its 18A and 20A process technologies — which will enter high-volume production 18 – 24 months ahead of competing production nodes from TSMC and Samsung Foundry — Intel must gain third-party customers. Apparently, the company is succeeding in this. According to Gelsinger, at least one of IFS's customers is so confident of Intel's 18A fabrication technology that it has made a considerable prepayment to allocate capacity.

"I have begun a process to accelerate the buildout of Arizona, right, and based on that customer prepay," the head of Intel said (via SeekingAlpha). "[…] So we are accelerating our construction build there. We will accelerate the capacity buildup that we have in Arizona.

Gelsinger would not say who the customer is. Still, the company has formally confirmed that it would make a custom 5G infrastructure system-on-chip for Ericsson and signed a pact with MediaTek, which will use its leading-edge nodes in the coming years. Of course, a client might prefer not to announce its 18A engagement publicly.

(Image credit: Intel)

The acceleration of the Arizona fab capacity buildup is undoubtedly a result of the fact that the company is expecting increased demand for its Intel Foundry Services capacity (which is logical, given the prepayment for 18A capacity) and for its products, mainly from cloud service providers who will need Xeon CPUs to run their AI servers.

Another reason could be that it is applying for government subsidies for its Arizona fab, and under the rules imposed by the program office, its spending must be approved every year, so the company naturally prefers to install more tools faster.

Also, since Intel 18A process technology will be ready for mass production in the second half of next year, Intel has reasons to brag about performance, power, and transistor density leadership already in 2024, about six months ahead of the planned introduction of 18A in 1H 2025.

"[…] Creating an elegant transistor, then getting it [to] volume [production] [with] reliable, producible performance, etc., but we are in the final throes of that, as we said, to deliver transistor leadership next year," said Gelsinger.

 Meanwhile, products based on Intel 18A will only ramp sometime in 2025.

Anton Shilov
Freelance News Writer

Anton Shilov is a Freelance News Writer at Tom’s Hardware US. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.

  • JamesJones44
    What?!?!?! According to TSMC this can't be done without importing workers...
    Reply
  • gg83
    JamesJones44 said:
    What?!?!?! According to TSMC this can't be done without importing workers...
    Lol. Maybe Intel doesn't share its builders.
    Reply
  • tallman9
    They didn't build the fab yet, but already claim that 18A will be production ready in a year.

    If 18A is anywhere better than TSMCs 3nm, I don't believe it'll be ready anytime earlier than in 3 years.

    Just look at their current products. Intel currently uses Intel 7 fab for their 13th gen CPUs, which is supposedly a match for TSMCs 7nm introduced in 2016 (with volume production in 2018)!

    Intel process node is 5 years behind TSMC, yet they claim to not only match, but already surpass them.
    Reply