Chinese company claims chipmaking tool breakthrough — announces 28nm-capable litho tool

SMEE
(Image credit: SMEE)

Shanghai Micro Electronics Equipment Group (SMEE), a Chinese developer of lithography tools, announced its first machine capable of processing wafers using a 28nm-class process technology, reports Bloomberg. This advancement represents a major leap in China's quest to close the technological gap in the global chip industry. The device is still behind tools made by market leader ASML, however, and it is unclear when SMEE can produce these scanners in significant volume.

The announcement did not come without oddities. The news about this new litho machine was first shared by Zhangjiang Group, a state-backed company that controls SMEE's fourth-largest shareholder, in a WeChat post that sent its shares up by 8%. But after that, the company changed its post and removed any mentions of the 28nm-capable tool, focusing on the fact that SMEE was committed to building advanced lithography machines. This is a bit surprising as SMEE said in October that it would introduce a 28nm-capable lithography tool by the end of the year.

The scanner is reportedly called SSA/800-10W and represents a major breakthrough for the company; its existing SSA600-series machines are capable of 90nm, 110nm, and 280nm process technologies.

TSMC has been producing chips on its 28nm process technology since 2011, whereas China's SMIC adopted a similar technology in 2015. Both SMIC and TSMC have used ASML's litho tools to make appropriate chips.

It's the latest development in a tit-for-tat chip war pitting the United States against China: The latest export rules set by the U.S. government block Chinese chip manufacturers from acquiring the necessary equipment and know-how to produce advanced non-planar transistor logic chips smaller than 14nm/16nm, 3D NAND chips with more than 127 active layers, and DRAM ICs with a half-pitch under 18nm.

Additional restrictions from the Netherlands, Japan, and Taiwan, which came into effect earlier this year, have further cut off Chinese companies from sophisticated tools. These constraints hinder their ability to fabricate chips using their latest manufacturing nodes, particularly SMIC's 14nm/12nm and second-generation 7nm processes and YMTC's 128-layer and 232-layer 3D NAND chips. Meanwhile, the U.S. Department of Commerce put SMEE into its Entity List last year, trying to stop China from advancing its semiconductor industry with its own tools. 

Anton Shilov
Freelance News Writer

Anton Shilov is a Freelance News Writer at Tom’s Hardware US. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.

  • ivan_vy
    "the company changed its post and removed any mentions of the 28nm-capable tool"
    did they lie? maybe, need to cater to investors (including funding from government)
    will they produce the tech and volume required? yes, eventually.
    The race now is in the stage for getting money from investors, so the other article about thousands of companies popping here and dying in weeks are for this reason
    rebadging, bogus announcements and larger than life promises will continue but also the tech developed from others companies.
    Reply
  • TCA_ChinChin
    ivan_vy said:
    "the company changed its post and removed any mentions of the 28nm-capable tool"
    did they lie? maybe, need to cater to investors (including funding from government)
    will they produce the tech and volume required? yes, eventually.
    The race now is in the stage for getting money from investors, so the other article about thousands of companies popping here and dying in weeks are for this reason
    rebadging, bogus announcements and larger than life promises will continue but also the tech developed from others companies.
    Yeah I'm curious about that post change/removal.
    Reply
  • phead128
    ivan_vy said:
    "the company changed its post and removed any mentions of the 28nm-capable tool"
    did they lie? maybe, need to cater to investors (including funding from government)
    TCA_ChinChin said:
    Yeah I'm curious about that post change/removal.
    The target audience is the Chinese semiconductor fabs, not foreign fabs. The entire domestic industry knows about 28nm litho by word-of-mouth or sales reps, they don't need to advertise in big shiny English letters on website because foreigners are not the target audience for sale. There is only a handful of foundrys/fabs in China, they all already know. For reference, SMIC took 14nm off their website, but we know they are capable of 5nm.
    Reply
  • The Historical Fidelity
    I’m glad they mastered the argon-fluorine chemical laser based immersion lithography method that has served the west well for the last 30 years. I wonder how they will go about R&D’ing EUV as it is a completely different beast altogether. There’s no commercial EUV photon source and optical lenses are useless to the wavelength. I am also not aware of any Chinese company that specializes in defect free mirror optics with picometer level tolerances like Carl Zeiss, Nikon, etc. it’ll be interesting for sure!
    Reply