MediaTek Beats Apple to Announcing 3nm Chips

MediaTek
(Image credit: MediaTek)

MediaTek this week announced that it had successfully taped out its first flagship smartphone system-on-chip using TSMC's 3nm-class fabrication process, which technically means it has beaten Apple to the first 3nm SoC. Based on the information disclosed by the SoC developer, we are dealing with an application processor set to be made on TSMC's N3E manufacturing technology, which makes it one of the industry's first chip to use this node.

Our focus is generally on PC hardware and mobile SoCs are generally not under our purview. That said, there are three aspects that matter in MediaTek's announcement: the fact that this is the industry's first officially disclosed N3E SoC, the announcement of a 3nm processor ahead of Apple, and MediaTek's relationship with Intel Foundry Services. Let's start with the most obvious one.

Beating Apple to 3nm

Formally, MediaTek beats Apple to the punch with a 3nm smartphone SoC, but there is a catch. Apple's 3nm mobile application processor is reportedly in mass production and is about to hit the market later this month when the company launches its iPhone 15 series. Meanwhile, MediaTek's next-generation Dimensity flagship will be made in 2024. 

Being TSMC's primary customer, Apple uses the foundry's leading-edge process technologies ahead of its rivals and it is generally believed that TSMC has been making 3nm SoCs for Apple since late 2022 using its most advanced production node, N3B.

The First N3E SoC

TSMC has two 3nm-class fabrication processes: the baseline N3 (also known as N3B) that can feature up to 25 EUV layers and can use EUV double patterning for higher transistor density. TSMC's other, a simplified N3E that can use up to 19 EUV layers and is not supposed to use EUV double patterning. TSMC's N3 offers smaller SRAM cells compared to N5 and a bit higher logic transistor density, but N3E provides more aggressive power (-32%) and performance (+18%) improvements compared to N5. These are indeed characteristics that MediaTek mentioned about TSMC's 3nm node it uses, which clearly points to N3E.

Although Apple is taking advantage of a higher transistor density with N3B, N3E promises a wider process window and potentially better yields, which is of crucial importance for costs. TSMC plans to initiate high volume manufacturing (HVM) using N3E towards the end of 2023.

It is quite remarkable that MediaTek disclosed its N3E tape out ahead of other TSMC clients (e.g., AMD, Nvidia, Qualcomm), especially keeping in mind that developers of mobile SoCs do not tend to make such disclosures. The reasons why the company decided to do so are unknown.

What About IFS?

MediaTek signed a strategic pact with Intel to use its advanced process technologies for a range of chips for client devices in July, 2025. In fact, MediaTek was the only big fabless chip designer to ink such a deal with IFS and disclose it publicly.

MediaTek's 3nm-related announcement with TSMC comes as Intel Foundry Services intensifies its marketing push, so the announcement may be considered as a way to distract attention from IFS. Meanwhile, MediaTek has so far not made any announcements regarding its tape outs with Intel's foundry division, which may not exactly be surprising as MediaTek probably intended to use Intel's 20A and 18A production nodes in 2024 – 2025 and onwards rather than Intel's 4nm and 3nm-class technologies in 2023 – 2024. 

 

Anton Shilov
Freelance News Writer

Anton Shilov is a Freelance News Writer at Tom’s Hardware US. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.

  • MartianM
    Such a ridiculous title and premise. We all know Apple taped out multiple N3 designs months ago and will release the first one, the A17, to customers next week. The M3 will follow in multiple products in Q4, well before MediTek ship anything. You should have fixed the article before publishing it purely for the troll value. Tom’s used to be way better than this trollop
    Reply
  • JamesJones44
    Beating it to market in a race that isn't a race isn't a big deal. Apple releases their devices same time every year so really, Apple likely already has millions of these on hand, but hasn't released them yet while the ramp production for release.
    Reply
  • TJ Hooker
    Yeah, this feels like a real bait and switch article. The N3B process Apple is already using is still a 3nm process. And it's rumored that they'll be switching the A17 to the N3E process next year, so they could have already taped it out, much like Mediatek, they just didn't announce it.
    Reply
  • syadnom
    This is getting too caught up in details. 3nm means likely lower power consumption *but* it doesn't mean better IPC or work per watt. I'd rather have a 6nm apple m3 than a 3nm mediatek based on all current benchmarks because the 6nm get's more done in less power. Sure, 3nm is one path to more efficiency but we need to see more advanced arm itterations in these chips before 3nm is exciting AND apple is dropping 3nm chips really soon anyway with their superior performance.
    Reply
  • bit_user
    MediaTek this week announced that it had successfully taped out its first flagship smartphone system-on-chip using TSMC's 3nm-class fabrication process, which technically means it has beaten Apple to the first 3nm SoC.
    ...
    Apple's 3nm mobile application processor is reportedly in mass production and is about to hit the market later this month when the company launches its iPhone 15 series. Meanwhile, MediaTek's next-generation Dimensity flagship will be made in 2024.
    Wow, that's what passes for journalism, around here? If MediaTek is only now taping out, and Apple is in mass production, then doesn't that technically mean that Apple beat MediaTek to the first 3 nm SoC?? Just because MediaTek announces first doesn't mean they were first!

    This article is 🤮
    Reply
  • bit_user
    syadnom said:
    3nm means likely lower power consumption *but* it doesn't mean better IPC or work per watt.
    That statement is based on what, exactly? Oh, right. Absolutely nothing.
    AttributeN5 vs. N7N3 vs. N5N3E vs. N5N2 vs. N3EPower
    -30%

    -25-30%

    -34%

    -25-30%
    Performance
    +15%

    +10-15%

    +18%

    +10-15%
    Chip Density*
    ?

    ?

    ~1.3X

    >1.15X
    Volume Manufacturing
    Q2 2022

    H2 2022

    Q2/Q3 2023

    H2 2025

    Source: https://www.anandtech.com/show/18832/tsmc-outlines-2nm-plans-n2p-brings-backside-power-delivery-in-2026-n2x-added-to-roadmap
    According to that, N3 means 10-15% better performance on the same design, at the same power. Or, using 34% less power at the same performance. That's how TSMC rates the performance and power gains of successive nodes. Take your pick, but there's your gains in "work per watt".

    Now, without changing the design, you don't get any extra IPC. So, what's more common to see is chip designers taking advantage of the additional density to use larger structures, which is where we get the IPC gains. When run at the same power, that tends to deliver even greater perf/W.
    Reply
  • newtechldtech
    bit_user said:
    Wow, that's what passes for journalism, around here? If MediaTek is only now taping out, and Apple is in mass production, then doesn't that technically mean that Apple beat MediaTek to the first 3 nm SoC?? Just because MediaTek announces first doesn't mean they were first!

    This article is 🤮
    and how do you know ? mass production dos not mean the first SOC was made before MediaTek , because you simply "dont know" when Mediatek started ... the article is 100 accurate , they announced it FIRST while Apple made a mistake because they did not predict it , "too much confidence" ..
    Reply
  • bit_user
    newtechldtech said:
    and how do you know ? mass production dos not mean the first SOC was made before MediaTek , because you simply "dont know" when Mediatek started ... the article is 100 accurate , they announced it FIRST while Apple made a mistake because they did not predict it , "too much confidence" ..
    We actually do know, on the basis that MediaTek is using N3E. According to TSMC's roadmap, N3E is much further behind N3 (AKA N3B).
    https://www.anandtech.com/show/18833/tsmc-details-3nm-evolution-n3e-on-schedule-n3p-n3x-deliver-five-percent-gains
    MediaTek's projected ship dates are consistent with TSMC's roadmap, and well behind when Apple is expected to have phones on the market. The article even says as much:
    "MediaTek's next-generation Dimensity flagship will be made in 2024."
    If you're going to contradict someone, try basing your argument on facts and evidence.
    Reply
  • purpleduggy
    looking forward to better battery life on new phones in 2024
    Reply
  • bit_user
    purpleduggy said:
    looking forward to better battery life on new phones in 2024
    It's a lot to do with how high phone makers push frequencies, though. If you sacrificed a little performance, you could probably run your phone a lot longer (modulo whatever overhead it takes to power the 5G modem, GPS, bluetooth, wifi, and whatever other power vampires are enabled).

    Another big piece of it is how much overhead app developers decide to use. For instance, it seems like Amazon Music is getting slower and more bloated, for no apparent functional benefit to me, as a user.
    Reply