Intel: 3nm Node Meets Yield and Performance Targets

US chip industry labor
(Image credit: SIA)

Intel's 3nm-class process technology has met its defect density and performance targets, the company announced this week. The Intel 3 fabrication technology is on track to be used for high-volume manufacturing of Intel's upcoming codenamed Granite Rapids and Sierra Forest processors next year, according to Intel.

"Intel 3 met defect density and performance milestones in Q2, released PDK 1.1, and is on track for overall yield and performance targets," said Pat Gelsinger, chief executive of Intel, at the earnings call with analysts and investors. "We will launch Sierra Forest in the first half of 2024 with Granite Rapids following shortly thereafter, our lead vehicles for Intel 3."

Intel 3 (previously known as 5nm) is the company's 2nd-gen process technology that uses extreme ultraviolet lithography and is generally a refined Intel 4 production node (previously known as 7nm). Compared to Intel 4, Intel 3 promises an 18% higher performance per watt efficiency, denser high-performance library, reduced via resistance, and increased intrinsic drive current. 

Intel's 3nm-class node is particularly suitable for data center-grade products that tend to use large monolithic dies and consume a lot of power (so reduced via resistance is a huge benefit). So far, Intel has announced only two processors set to be made on its 3nm-class node — the server-class Granite Rapids and Sierra Forest. Furthermore, the company's Intel Foundry Services division is set to make a custom data center product for a hyperscaler on Intel 3 process technology. 

Intel 3 meeting defect density and performance goals is good news for Intel's data center division as it will enable it to offer competitive products in 2024.

Intel does not seem to be planning to use its 3nm-class process technology for client products; at least the company has not announced any so far. At present, the company is ramping up its codenamed Meteor Lake CPU for notebooks and desktops on the Intel 4 process and is gearing up for mass production of its codenamed Arrow Lake CPU on Intel 20A (20 Angstroms, 2nm-class) manufacturing technology in the coming quarters.

"On Intel 20A, our first node using both RibbonFET and PowerVia, Arrow Lake, a volume client product, is currently running its first stepping in the fab," said Gelsinger.

Anton Shilov
Contributing Writer

Anton Shilov is a contributing writer at Tom’s Hardware. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.

  • bit_user
    So, a few weeks ago, there was some rumor of Arrow Lake getting fabbed on Intel 3 (instead of 20A). I took that to mean the CPU cores tile, but it wasn't clear. Is there some other tile in Arrow Lake that would make sense to fab on Intel 3, aside from the CPU and GPU tiles?

    Regardless, it'll be exciting to see how Granite Rapids matches up against the Zen 5 EPYC, next year. I think it's meant to have up to 12 channels of MCR DDR5 DIMMs, so Intel is also scaling up bandwidth to feed the beast.
    Reply
  • everettfsargent
    Someone please wake me up when they have shipping and independent review of whatever products they actually release on any process technology. Thanks in advance.
    Reply
  • InvalidError
    bit_user said:
    So, a few weeks ago, there was some rumor of Arrow Lake getting fabbed on Intel 3 (instead of 20A).
    The rumors I've seen say either TSMC N3 or Intel 20A. And I doubt anything besides CPU and GPU tiles would be made on the most advanced processes available since IO and cache have more or less hit the scaling ceiling.
    Reply
  • dramalamadingdong
    Man, I love Tom's Hardware. Been lurking for years and wanted to say so. Comments are an insightful riot. Peace and love baybee and electrons.
    Reply
  • alan81
    I interpreted the Intel comment a bit differently. They have been attempting to be more transparent, and as a result providing more internal data. I believe what they meant was Intel_3 met the goals they set for Q2 of 2023, not the overall end goals of the project. Intel will have a plan for incremental improvement each quarter, and all they are reporting is they are still on track to that plan. The comment "is on track for overall yield and performance targets" gives this away. At least this is my interpretation, which seems a bit different than the headline.
    Reply
  • The Historical Fidelity
    InvalidError said:
    The rumors I've seen say either TSMC N3 or Intel 20A. And I doubt anything besides CPU and GPU tiles would be made on the most advanced processes available since IO and cache have more or less hit the scaling ceiling.
    I’ve heard that the GPU tiles will be manufactured on TSMC N3 leaving at least the CPU tiles to be manufactured on Intel 20A. GPU wise, I believe all GPU tiles in the future will be on TSMC as well, since the discrete graphics architectures will all be TSMC products (doesn’t make sense to port their GPU designs on 2 separate incompatible nodes) and these tiles are just cut-down versions of said discrete designs.
    Reply
  • thisisaname
    Intel's 3nm-class process technology has met its defect density and performance targets, the company announced this week. The Intel 3 fabrication technology is on track to be used for high-volume manufacturing of Intel's upcoming codenamed Granite Rapids and Sierra Forest processors next year, according to Intel.

    "Intel 3 met defect density and performance milestones in Q2, released PDK 1.1, and is on track for overall yield and performance targets," said Pat Gelsinger, chief executive of Intel, at the earnings call with analysts and investors. "We will launch Sierra Forest in the first half of 2024 with Granite Rapids following shortly thereafter, our lead vehicles for Intel 3."

    It would be interesting to know how good those figures are, a lot can be improved in a year, to me it would seem the node is far from ready and much could go wrong.
    Reply
  • Kamen Rider Blade
    Why aren't client products using Intel 3?
    Reply
  • Unolocogringo
    Kamen Rider Blade said:
    Why aren't client products using Intel 3?
    My understanding of the matter is yields are still too low.
    So instead of making $100-$500 processors they are making $3000-$12,000 processors.
    A few less dies per wafer but much higher $/mm² return to make them profitable.
    Once yields are much better we might see client processors.
    Reply
  • bit_user
    The Historical Fidelity said:
    I’ve heard that the GPU tiles will be manufactured on TSMC N3 leaving at least the CPU tiles to be manufactured on Intel 20A.
    I think we've known that for a long time. I might try to go back and find the article, because it clearly said something got switched to Intel 3.

    Anyway, unless it was the CPU tile, it's probably not worth thinking about. If it were the CPU tile, that would be big news, but then Intel couldn't just gloss over it, like they did in this announcement.

    The Historical Fidelity said:
    GPU wise, I believe all GPU tiles in the future will be on TSMC as well, since the discrete graphics architectures will all be TSMC products (doesn’t make sense to port their GPU designs on 2 separate incompatible nodes) and these tiles are just cut-down versions of said discrete designs.
    Oh, but the tGPU tiles aren't just scaled down versions of their dGPUs! There was an interview with someone from Intel, a few months ago, who said one thing they've learned was that it's too costly to maintain like 3-4 different GPU designs, based on the product segment. The suggestion was that Arrow Lake is still a bespoke LP design, but possibly some later tGPU would be cut from the very same cloth as their dGPUs.
    Reply