Intel Foundry Services to Produce 3nm Chips for Major Datacenter Customer

One of the least-noticed highlights of Intel's earnings call this week was the announcement that its Intel Foundry Services contract chipmaking division landed an order from a major "cloud, edge, and datacenter solutions provider" for producing chips on Intel 3 production node. While this is a significant win for IFS, problems linger, as the Chinese antitrust agency has suspended its review of the Intel-Tower transaction.

A Major Win

"I am very happy that we were able to add a leading cloud, edge, and datacenter solutions provider as a leading-edge customer for Intel 3," said Pat Gelsinger, Intel CEO. "Including prior customers such as MediaTek, we now have a lifetime deal value of greater than $4 billion for IFS." 

The company has always tailored its Intel 20A and Intel 18A (2nm and 1.8nm-class, respectively) for its foundry customers. In contrast, its current and upcoming Intel 7 (10nm Enhanced SuperFin), Intel 4 (7nm with EUV), and Intel 3 (7nm+ with EUV) nodes were designed primarily with Intel in mind. So winning a major customer with Intel 3 — which is set to be production ready in 2024 — is clearly an achievement for the processor giant.  

Meanwhile, it is possible that Intel will be producing a semi-custom version of its Xeon processor for a major client, just like it envisioned when announcing its IDM 2.0 business model a little less than two years ago. 

Intel's IFS has been steadily gaining momentum. The foundry unit has already signed Qualcomm and Amazon Web Services (AWS) as initial customers and won a contract from the U.S. Department of Defense. In addition, Intel says it is actively working with many of the largest fabless chip designers on specific engagements, which is quite an achievement for a contract chipmaker that has been in the business for less than two years. 

"We also have an active pipeline of engagements with seven out of the 10 largest foundry customers coupled with consistent pipeline growth to include 43 potential customers and ecosystem partner test chips," said Gelsinger. "Additionally, we continue to make progress on Intel 18A, and have already shared the engineering release of PDK 0.5 (process design kit) with our lead customers and expect to have the final production release in the next few weeks."

A Major Bump

However, not everything is rosy for Intel Foundry Services. The proposed $5.4 billion acquisition of Tower Semiconductor, a specialty foundry with a vast portfolio of clients, has hit a bump. According to Seeking Alpha, China's State Administration for Market Regulation (SAMR) suspended the clock in its review of the transaction. 

It is believed that Intel will not invest in its Chinese operations as U.S. authorities prohibit investments in China for companies that receive money or other benefits from the CHIPS fund. Meanwhile, if the deal is not approved by SAMR, it will not move forward. 

Intel needs Tower to gain an experienced foundry executive team and a huge portfolio of clients. In fact, the former head of IFS already stepped down back in November as Intel planned to close the deal in Q1 2023. Now that the deal cannot close, IFS is operating without a head, which is not particularly good for business. However, Intel remains optimistic about the Tower buyout. 

"In addition, we are working hard to complete the Tower acquisition, which will further amplify our momentum as our foundry business becomes even more compelling to customers," said the head of Intel.

Anton Shilov
Freelance News Writer

Anton Shilov is a Freelance News Writer at Tom’s Hardware US. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.

  • bluvg
    Intel 7 (10nm Enhanced SuperFin), Intel 4 (7nm with EUV), and Intel 3 (7nm+ with EUV) nodes

    Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.
    Reply
  • JamesJones44
    bluvg said:
    Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.

    Adding on to your point, some sites have started putting the transistor density in parenthesis on a lot of these nodes, something that I hope catches on. It's still not the greatest metric but IMO it's a better gauge of what these companies are actually doing to improve their nodes than NM is these days.
    Reply
  • DavidLejdar
    Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

    Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.
    Reply
  • JamesJones44
    DavidLejdar said:
    Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

    Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.

    The issue is "nm" doesn't really indicate anything about their nodes these days. Samsung has 4 nodes that are the same with only refinements, yet they call them 7LPP, 6LPP, 5LPE and 4LPE. These nodes are closer to Intel's +++ than an actual new die shrink processes, but no one gives them guff about it because at glance the seem smaller. TSMC has done this as well, there 4n node is really an enchanted 5n node (they have others but this is the most recent example). So though they call these things 4 nm, they actually aren't and thus you can't compare them based on that stat alone. Transistor density on the other hand can be compared company to company.
    Reply
  • bluvg
    JamesJones44 said:
    The issue is "nm" doesn't really indicate anything about their nodes these days. Samsung has 4 nodes that are the same with only refinements, yet they call them 7LPP, 6LPP, 5LPE and 4LPE. These nodes are closer to Intel's +++ than an actual new die shrink processes, but no one gives them guff about it because at glance the seem smaller. TSMC has done this as well, there 4n node is really an enchanted 5n node (they have others but this is the most recent example). So though they call these things 4 nm, they actually aren't and thus you can't compare them based on that stat alone. Transistor density on the other hand can be compared company to company.
    Exactly. I get your point @DavidLejdar about bogging down the article, but I think that could be easily avoided while clarifying by simply stating "formerly called" or something along those lines.
    Reply
  • shady28
    DavidLejdar said:
    Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

    Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.


    Actually no, it isn't.

    To illustrate, TSMC's "10nm" process has a density of 50MTr/mm2 (50 million transistors per square millimeter).
    Intel 7 "formerly Intel 10nm" has a density of 92-102MTr/mm2. TWICE what TSMCs 10nm was.
    TSMC N7 which everyone "thinks" is 7nm, has a density of 92MTr/mm2 - 97MTr/mm2. LESS than Intel 7 "Formerly 10nm"

    So no, you can't tell anything about them from their marketing names.

    With everyone and their dog saying TSMC was on 7nm when they were actually on N7 (10nm to Intel's normal standard) it was becoming a marketing coup for TSMC. That entire false narrative has undoubtedly cost Intel billions in revenue and mind-share.
    Reply
  • bit_user
    bluvg said:
    Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.
    In this case, it's fair to relate these node names to what Intel previously called them. Otherwise, I agree.

    shady28 said:
    With everyone and their dog saying TSMC was on 7nm when they were actually on N7 (10nm to Intel's normal standard) it was becoming a marketing coup for TSMC. That entire false narrative has undoubtedly cost Intel billions in revenue and mind-share.
    No, I don't believe that. Nobody buys one CPU vs. another because it's called N7 or 10 nm. Of course, Intel was at a marked disadvantage with their 14 nm CPUs, but that was due to actual performance deficiencies rather than merely perceived.
    Reply
  • bit_user
    Getting this discussion back on topic, what do we expect will happen? Will Tower be forced to spin out or sell off its Chinese fabs? Or is China looking to extract more than that?

    IIRC, China held up WDC's acquisition of HGST for the better part of a decade, so this could certainly drag on.
    Reply
  • shady28
    bit_user said:
    No, I don't believe that. Nobody buys one CPU vs. another because it's called N7 or 10 nm. Of course, Intel was at a marked disadvantage with their 14 nm CPUs, but that was due to actual performance deficiencies rather than merely perceived.

    You must not be looking at the same forums or reviews 90% of folks look at then. I wonder how often this author says TSMC N5 ("actually 7nm density") as opposed to when they say "Intel 7 (10nm SuperFin)"? It's either ignorance, or bias, take your pick - either way it's a misleading, false narrative.

    You can bet plenty of business has been lost due to this type of behavior.
    Reply
  • bit_user
    shady28 said:
    You must not be looking at the same forums or reviews 90% of folks look at then. I wonder how often this author says TSMC N5 ("actually 7nm density") as opposed to when they say "Intel 7 (10nm SuperFin)"? It's either ignorance, or bias, take your pick - either way it's a misleading, false narrative.

    You can bet plenty of business has been lost due to this type of behavior.
    People who even read reviews are buying based on the benchmarks. People who don't read reviews be like "what's a nm?" and just buy Intel because they've heard of it before.

    Only fanboys argue about nm's. But they've already picked a side, by then.
    Reply