Intel officially becomes a contract custom chip designer, Nvidia among lead customers — company veteran Srini Iyengar to spearhead new Central Engineering Group
Or at least makes steps in the right direction.

When Intel introduced its IDM 2.0 strategy in 2021, a substantial part of the plan was to build custom x86 processors for clients and then produce them at Intel Foundry. However, the company has never assigned an executive to manage its custom silicon business, and the only major design wins were for custom Xeon CPUs used by Amazon Web Services. However, this month, Intel made two important steps that make it a de facto contract chip designer: it appointed an executive to lead its custom silicon business and signed a multi-year contract to build custom Xeon CPUs for Nvidia's AI platforms.
The current state of Intel's custom CPU business
Intel has been offering semi-custom Xeon processors to various customers for over a decade. These CPUs typically serve hyperscalers or large AI/data center customers who require performance or efficiency enhancements optimized for their specific workloads. These Xeon processors are tweaked from standard off‑the‑shelf Xeon SKUs with different frequency bins, power envelopes, packaging, microcode, feature sets, or even special-purpose accelerators designed for certain workloads.
When Intel discussed custom x86 processors in 2021, it mentioned customizable cores, custom IP, and customized Intel IP, which is far more impressive than its semi-custom offerings. However, the only custom products that Intel developed for a large client and disclosed publicly are the aforementioned Xeon CPUs for Amazon Web Services.
Intel has never revealed the degree of customization on the IP level with these products, though we know from AWS that its custom Xeon 6 CPU has an unknown number of cores, a 3.90 GHz all-core turbo frequency (up from 3.20 GHz for the off-the-shelf Xeon 6952P model), and faster DDR5-7200 memory support (up from DDR5-6400). However, such a level of customization is not something we usually expect from a bespoke CPU in a world where hyperscalers run dozens of highly customized models for their in-house processors inside data centers.
This is something that must change if Intel truly plans to serve a crowded market, with names like Alchip, Alphawave, AMD, Andes, Broadcom, GUC, Marvell, MediaTek, and Sondrel. This month, Intel appointed Srini Iyengar to lead its Central Engineering Group, enabling the company to build a custom silicon business serving a broad range of external customers. That job is not going to be easy, but Iyengar has the appropriate experience to do it.
Srini Iyengar has spent over two decades at Intel, with the latter half of his career focused on custom silicon architecture for infrastructure platforms. As a Principal Engineer, he has played a key role in architecting Arm-based Infrastructure Processing Unit (IPU) SoCs, defining product features to optimize performance, power, and area (PPA), and collaborating across IP vendors, verification, firmware, and manufacturing teams to deliver tailored solutions. Previously, he led the architectural development of special-purpose accelerator subsystems for server CPUs.
In addition, Intel this month disclosed its custom silicon unit's biggest win so far: a multi-year contract with Nvidia, under which it will develop and build bespoke Xeon CPUs for Nvidia's AI infrastructure. Given that Nvidia controls the lion's share of the AI hardware market, this is a significant contract both in terms of volume and in terms of Intel's public image.
Custom silicon is on the rise
When mentioning custom silicon in the context of Intel, we primarily refer to consumer and data center processors, as these are the areas where Intel excels. However, the semiconductor industry is witnessing a sharp rise in demand for bespoke application-specific processors across virtually all verticals, including AI, automotive, cloud, consumer, data centers, and consumer electronics.
Around a decade ago, only large companies could afford to develop their own custom chips, but with maturing contract chip development services, IP ecosystems, foundry yields, and a changing competitive landscape, the interest in bespoke chips is stronger than ever.
Apple's early lead in custom processors for smartphones set the tone for the consumer electronics industry, showing how proprietary silicon can deliver performance, efficiency, and product differentiation. In 2025, Google, Huawei, and Xiaomi have also developed their own smartphone SoCs.
In the data center, hyperscalers like Amazon and Google have become major drivers of custom silicon. AWS has its own Trainium accelerators for AI training, Inferentia accelerators for AI inference, and Graviton CPUs for general-purpose compute. Google produces its own chips for AI (TPUs), video (VCUs), and its own application processors for smartphones. These companies benefit from integrating hardware and software stacks, enabling better efficiency and lower costs at scale. The trend extends to other hyperscalers, including Alibaba, Baidu, Meta, Microsoft, and OpenAI.
Automotive manufacturers are also investing in their own processors (motivated by Tesla's early lead) as they shift to software-defined vehicles (SDVs). These companies are set to use multiple high-end SoCs across a vehicle, with the main Advanced Driver-Assistance System (ADAS) SoC likely using multiple chiplets. These companies are not only interested in reliability, performance, and features, but also in the long-term availability.
Advanced AI-assisted EDA tools from Cadence, Synopsys, and Siemens AI as well as simulation tools from Ansys (now part of Synopsys), greatly streamline the development of custom processors, which lowers the barrier for companies that intend to establish their own chip design division. If the return on investment looks compelling, unit costs are reduced significantly, or the total cost of ownership is lowered several times, or performance improvements are dramatic, then companies will at least consider starting an in-house chip design initiative.
However, development of chips is always a risk, both in terms of money and time-to-market. This is especially true for companies starting from scratch or lacking in-house expertise. Also, far not all companies that can benefit from custom silicon can afford an internal chip division. Finally, there are companies not willing to afford an internal chip design department for many reasons. This is where contract design-to-order service companies come into play.
What do customers want?
Companies that want the benefits of custom silicon, without the complexity of managing specifics like IP licensing, verification, or tape-out, have a very specific set of requirements for a contract chip designer, as it essentially becomes a strategic partner, not just a service provider.
One of the biggest priorities for interested companies is the experience of their partner. Customers seek design firms with a proven track record of delivering complex SoCs or ASICs, ideally in their industry domain. This includes not only silicon delivery but also successful tape-outs on advanced nodes, system-level architectural understanding, and familiarity with key verticals such as automotive, AI/ML, client PCs, and networking.
Secondly, customers expect access to a comprehensive IP portfolio. Most firms do not want to source and license every IP block individually; therefore, contract designers must provide or integrate essential IP blocks, such as PCIe, DDR, SerDes, Ethernet, USB, and security. Many customers also require the ability to integrate their own custom IP or differentiate through co-developed blocks. Therefore, the chip designer must have licensing flexibility, reuse rights, and expertise in deep IP integration.
Thirdly, clients demand a mature and automated design flow. Faster tape-outs and fewer silicon bugs are critical to stay on schedule and within budget. This also means the designer should handle validation, testbench creation, simulation, and signoff with minimal supervision.
Next, clients also value pre-existing relationships with foundries and Outsourced Semiconductor Assembly Tests (OSATs), which ensure that the designed processor will enter mass production and will ramp up to target volumes at predictable costs. Long-term support — including yield increase, silicon validation, firmware tuning, and product lifecycle management — is often a crucial factor.
Lastly, some clients prefer a full turnkey model, while others opt for joint development with an eventual handoff. Normally, a contract chip designer should support both and ensure strong IP protection, data security, and clear ownership terms.
What Intel can and cannot offer
Intel today can offer several — but not all — of the elements that customers expect from a contract chip designer. While the company is taking serious steps toward building a competitive custom silicon business, it still lags behind established ASIC players in a number of key areas.
Intel has decades of experience designing some of the world's most complex processors, including consumer CPUs for a wide range of PCs, Xeon processors for data centers, GPUs, FPGAs (via Altera), and even AI accelerators. The company clearly understands power, performance, and area (PPA) tradeoffs at scale.
For customers that require high-performance x86-based compute or custom server-class silicon, Intel's architectural know-how is likely second to none. Additionally, in recent years, Intel has gained experience in integrating chiplets made on different nodes from various foundries, a feat no one else in the industry has yet achieved in volume.
However, unlike Alchip, Andes, GUC, Marvell, or MediaTek, Intel does not have a proven track record of integrating Arm, RISC-V, or 3rd-party cores into customer designs.
Intel owns a wide range of IP, including x86 cores, GPUs (and supporting hardware like media engines, display engines, display controllers, audio codecs, etc.), AI accelerators, security engines, special-purpose accelerators (primarily for data centers), and high-speed I/O controllers and PHY (e.g., DDR, HBM, Ethernet, PCIe, Thunderbolt, UPI, USB, etc.). For customers looking to build a product around x86 and reuse trusted Intel IP blocks, the company can provide a strong starting point — particularly for data center and perhaps even for AI accelerators.
However, Intel's IP for its own 18A process technologies is relatively limited (for now), so it will have to license IPs from third parties like Synopsys, which is not a big problem, but adds complexity. While Intel has proven IPs for TSMC's process technologies, these are mostly focused on consumer solutions, not on data center solutions, which will again mean reliance on third-party IPs.
With Intel Foundry and packaging capabilities like EMIB, Foveros, and 3D chiplets, Intel can offer not only custom chip design but also manufacturing and advanced integration options. This is a strong differentiator versus design-only houses that rely on external foundries and OSATs. For chiplet-based SoCs or heterogeneous designs, Intel has a compelling packaging roadmap. Intel also has a good relationship with TSMC.
There might be a perception issue, though. Intel may favor its own IP, packaging, or node choices, which could limit design freedom. Some customers may prefer a neutral, foundry-agnostic partner that will deliver their GDSII file to TSMC.
Finally, Intel's traditional business model is focused on low-mix/high-volume chip development and production. A contract manufacturer is focused on agile development, tape-out, and low-volume production, and we have no idea how ready Intel's teams are for such work.
The first step
Intel offers world-class architectural talent, a robust infrastructure IP base, and strong manufacturing and packaging capabilities. Its custom design services are likely ideal for hyperscalers or large infrastructure clients that want high-performance x86-based silicon and are willing to produce it at Intel Foundry.
So far, Intel has had limited success landing such customers, even though it was willing (at least on paper) to offer customized cores. However, the deal with Nvidia shows that Intel can indeed land orders for highly customized CPUs, a step in the right direction.
In recent years, Intel has also built relationships with TSMC and prominent developers of chip development and simulation tools, such as Ansys (now part of Synopsys), Cadence, Synopsys, and Siemens EDA. In addition, the company partners with third-party IP companies, including Cadence and Synopsys.
However, Intel's limited experience with Arm, RISC-V, and custom processing cores is a competitive disadvantage when compared to general-purpose ASIC houses like Broadcom Custom Silicon, Alchip, or GUC. But this is arguably not a limiting factor for Intel's custom silicon business in the early stages of its development. As for how its ambitions shake out? That's something only time will be able to tell.
Follow Tom's Hardware on Google News, or add us as a preferred source, to get our up-to-date news, analysis, and reviews in your feeds. Make sure to click the Follow button!

Anton Shilov is a contributing writer at Tom’s Hardware. Over the past couple of decades, he has covered everything from CPUs and GPUs to supercomputers and from modern process technologies and latest fab tools to high-tech industry trends.